2012-08-24から1日間の記事一覧

Verilator のビルド

http://www.veripool.org/wiki/verilator/Installingダウンロード, 伸張, cd verilator-3.840; ./configure から。 /bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp g++ -I/usr/local/include -MMD -I. -I.…

古いからの Mingw / Msys のアップデート

以前やりかけた Verilator のインストールをきっちりやりなおす準備。 Mingw 自体は2年に1回ぐらい取り替えているので問題ないのだが、 msys 自体はアップデートした記憶が無くファイルの日付をみたら 2004 年になっていた。一応 mingw の古いやつも部分的に…